杜汶泽大放厥词惹人厌 隔空嘲讽吕颂贤

2014-09-03 20:12:44 来源:网络

吕颂贤认为杜汶泽言论过激

杜汶泽隔空嘲讽吕颂贤

明星网9月3日资讯,香港男星杜汶泽因口无遮拦遭到内地网友抵制,所参演的电影作品票房大跌。他仍不思悔过,在facebook上大放厥词,嘲讽来内地发展的吕颂贤“有奶便是娘”,令网友们倍感厌恶。

曾饰演《笑傲江湖》中令狐冲一角的香港男星吕颂贤,最近接受采访提到他对内地的感情,认为杜汶泽言论过激。随即,杜汶泽便更新了一篇脸书来嘲笑他,除了一如既往的大发厥词外,还声称自己上个月才接了新戏,下个月也有戏准备在内地上映。有网友已经扒皮出杜汶泽所谓的新戏是由王晶执导的《唐伯虎冲上云霄》,并已在网络上发起抵制活动。

有不少网友认为,杜汶泽刻意恶化内地和香港的关系,有分裂中国的嫌疑,应该启用法律程序,对其进行逮捕。(团团/文)

本文来源于明星网:hTTp://news.mingxing.com/read/93/279135001.htMl

转载请保留链接,不保留本文链接视为侵权,谢谢合作。

,姚元浩 杜汶泽大放厥词惹人厌 隔空嘲讽吕颂贤

相关:

董建华谈特首普选:人大决定方案是货真价实的民主原标题:董建华谈特首普选:人大决定方案是货真价实民主中新社香港9月3日电(记者 贾思玉) 全国政协副主席、香港特区前行政长官董建华3日表示,支持全国人大常委会关于香港特别行政区行政长官普选问题和2016年立法会产生办法的决定。他说,按人大决定的方案,2017年香港500万选民可以一人一票选出特首,这是实质的、货真价实的民主。“今日同大家讲的这番话是来自我心底的最诚恳的话。”77岁的前特首,这天在夫人董赵洪娉的陪同..

股市回暖暖人心  上周五以来,沪深两指已连续四天上涨。截至3日15点,沪指涨1%,报2288.63点,创2013年6月来近15个月新高;深成指涨0.90%报8115.87点,成交2156.6亿元;创业板涨0.86%报1477.64点。   表面上看,连续几天的牛市,与上周高频率的8只新股发行后的资金回流有关。在周五的两只新股发行后,解冻的资金回流A股市场,大盘资金量增大,流动性增强,直接导致了大盘连续几天的高成交量和个股上涨。但从长远来看,却反映着市场在经济..

大摩华鑫:公司及管理层没涉及任何调查  3 日,摩根士丹利华鑫证券(下称“大摩华鑫”)对“调查门”传闻发布最新回应称:“公司及管理层没有涉及任何调查,公司运营正常。针对微信里的谣言,我们正在通过法律途径处理,包括向公安机关报案,并在相关部门的帮助下追查造谣人。”  不过,大摩华鑫并未对外界所传的“录用高官子女及国企领导人子女情况”说明是真是假。   大摩华鑫“调查门”始于本月初,一份关于摩根士丹利华鑫证券(下称“大摩华鑫”)录用高官子..

邓萃雯不满意额头太高 拒绝整容:观众已习惯(图)邓萃雯中新网北京9月3日电(记者 张曦) 香港女星邓萃雯今天在京宣传新剧时,畅谈整容话题,她虽然认为自己额头太高,但也表示不会整容,“我的形象观众都已经习惯了,怕整完之后观众会不喜欢”。3日下午,电视剧《美人制造》在京举行开播发布会,主演金世佳、杨蓉、邓萃雯、张哲瀚现身造势。邓萃雯拒整容:观众已习惯栏目剧《美人制造》讲述了傲娇太医贺兰钧(金世佳饰)和结婚狂魔苏莲衣(杨蓉饰)之间动人唯美却又轻松诙谐的爱情..

郭台铭:山西经济发展必须跨越转型的空虚症  台湾鸿海集团总裁郭台铭双手握拳,在胸前振臂一挥:“李小鹏省长说改革需要活力,各位晋商朋友们,我们有没有活力?”台下不少晋商代表异口同声地答道:“有。”  这是3日在山西太原召开的第二届晋商大会上,祖籍山西晋城的台湾企业家郭台铭发言中的一个段落。   郭台铭在发言中说,作为一个山西人,很兴奋回来参加晋商大会,也欢迎其他晋商回来看看家乡的变化。郭台铭称,“山西目前存在三个症状,首先是对资源政策模..

传监管层放行上市房企银行间市场发行中票  三位消息人士周三表示,监管层近日向部分债券承销机构传达了房地产行业的最新政策动向,将允许已上市房地产企业在银行间市场发行中期票据。  据路透社报道,消息人士透露,监管层规定募集资金要用于符合国家政策支持的普通商品房项目、补充流动资金、偿还银行贷款,而该贷款必须为保障房项目、普通商品房项目的项目贷款。   “以普通商品房为主的房地产上市公司才可发行中票。”一位知情人士称,“从事棚户区改造等公..

福斯特9月5日上交所上市交易  东方财富网讯 杭州福斯特光伏材料股份有限公司A股股票将于2014年9月5日在上海证券交易所上市交易,本次公开发行股票6000万股,公开发行后总股本40200万元,证券简称为“福斯特”,证券代码为“603806”。  杭州福斯特光伏材料股份有限公司主营业务为EVA太阳能电池胶膜、太阳能电池背板、共聚酰胺丝网状热熔胶膜产品的研发、生产和销售。本次发行所募集的资金拟投入年产1.8亿平方米EVA太阳能电池胶膜生产项目、续建光伏材..

外交部:香港不是97年前的香港 外国势力不得干涉原标题:2014年9月3日外交部发言人秦刚主持例行记者会 一、国务院总理李克强将于9月10日出席在天津举行的世界经济论坛2014年新领军者年会(又称“夏季达沃斯论坛”)开幕式并发表特别致辞。 二、中国政府与欧盟委员会将于9月6日在北京举行“中欧高级别人文交流对话机制第二次会议”。机制中方主席、国务院副总理刘延东将与机制欧方主席、欧盟教育、文化、多语言和青年事务委员安德鲁拉·瓦西利乌共同主持机制大会。 三、国务..

比伯与赛琳娜复合喜笑颜开 得意忘形惨撞车明星网9月3日资讯,贾斯汀·比伯与女友赛琳娜·戈麦斯再度复合,情场得意的他如沐春风,结果由于得意忘形再度惹祸,8月29日,比伯在老家斯特拉特福德附近骑着自己的“全地形四轮越野车”(ATV)与一辆小面包车相撞,随后比伯还与面包车司机发生肢体冲突。天下大势分分合合,对于贾斯汀·比伯和赛琳娜·戈麦斯这对小情侣来说,他们分手和复合简直随意得像是家常便饭。日前比伯再度与女友复合,情场得意的他如沐春风,结果由于得意忘..

富少李宗瑞迷奸案后续 恶性重大判刑30年李宗瑞明星网讯 富少李宗瑞迷奸案曾轰动整个娱乐圈,近日,该案件有了进一步的审判结果,据悉,李宗瑞因恶性重大被判刑30年,淫魔落入法网,网友直呼大快人心。据台湾媒体报道,淫魔富少李宗瑞被控在夜店扛喝醉昏迷女性或拐骗美女回家迷奸女性还偷拍,一审认定共9女遭性侵,17女遭偷拍,“高等法院”今天二审宣判,李宗瑞被依乘机性交罪、妨害秘密罪等罪判刑79年7月,由于有期徒刑最高上限仅可判30年,合议庭因此宣告他应合并执..